Цифровое моделирование



бет3/3
Дата03.03.2023
өлшемі2,76 Mb.
#170913
түріИсследование
1   2   3
Байланысты:
3-зертхана БАГ
практика 4, Ет консервілердің жіктелуі және ассортименті, AUES Ungarov, Жанбараков Ж..... 7 СРС, Физика. Тәжірибелік сабақ. №1, aidos ezh zhogary matematika1 2, консерв окулык, 4 наука, Кәсіпкерлік 5, Ақпарат түсінігі ЦТ срсп, физиология, 1топ Осн биотех 9практ, 9 БӨЖ, Виртуальный лабораторный практикум по дисциплине База данных, семестрлик жумыс Толегенова Асем

Модели цифровых сигналов

  • Круг задач, решаемых методом логического моделирования, определяется в первую очередь числом различимых состояний, которые может принимать цифровой сигнал.
  • Каждому состоянию сопоставляется свой индивидуальный символ, а их совокупность составляет алфавит моделирования.
  • Так как в этом случае любой сигнал может принимать только два значения (0 и 1), то смену логического уровня вынужденно приходилось считать мгновенной.
  • Реальный сигнал
  • Порог
  • Событие – мгновенное переключение
  • Достоинство – экономичность. Позволяет решать только одну главную задачу моделирования – проверить работу схемы

Модели цифровых сигналов

  • При троичном моделировании {0, 1, Х} переключающийся сигнал можно изобразить более реалистично, например 0Х1 или 1Х0. Такая запись означает, что при смене состояния элемента его выходной сигнал в течение некоторого времени (пока формировался фронт или спад) имел неопределенное значение.
  • 0
  • 1
  • X
  • Переключение 0X1
  • Переключение 1X0
  • 0
  • 1
  • X
  • 0
  • X
  • 1
  • Active-HDL 8.1
  • Трёхзначный алфавит {0,1,X} используется в языке PML (САПР PCAD 4.5)
  • X присваивается сигналу на выходе ЛЭ во время переходного процесса.
  • X присваивается выходам триггера после подачи на его входы запрещённых комбинаций сигналов
  • X присваивается выходам триггера в начале моделирования, когда его состояние неизвестно


Достарыңызбен бөлісу:
1   2   3




©engime.org 2024
әкімшілігінің қараңыз

    Басты бет